Monday, April 6, 2015

3D Semi. Manufacturing Will Benefit LAM, Applied and Other Semiconductor Equipment Vendors

3D semiconductor chip process demand will have a very large impact on semiconductor equipment vendors over the next several years. Initially it is being implemented only on NAND flash

3D chip manufacturing will be implemented by many other types of semiconductor chips such as DRAM memories and other high density ICs.

Historically chip size has been shrinking by using stringent photolithography processes. 3D chip manufacturing enables shrinking chip size while using more relaxed photolithography processes,

3D chip manufacturing relaxes photolithography, but it adds stringent demands on other semiconductor manufacturing processes such as layers deposition and etch. This will increase the demand for equipment vendors such as Applied Materials and LAM as is discussed in the article below.

More about 3D semiconductor from November 2012 is in-  3D NAND flash is coming


More about the processing difficulties is in Applied Materials talks about 3D NAND flash production .

A 2009 patent application by Samsung for this technology is - US20100155810




Ron
Insightful, timely, and accurate semiconductor consulting.
Semiconductor information and news at - http://www.maltiel-consulting.com/






By Tiernan Ray
Credit Suisse’s chip equipment analyst Farhan Ahmad and chip analyst John Pitzer today write that equipment vendors Lam Research (LRCX) and Applied Materials (AMAT) could both see multiplication of their sales as a result of newer three-dimensional NAND flash memory chips, known as “3-D NAND,” that are becoming more prevalent.
Why are the chips important? 3-D NAND chips have 35% more bits per square millimeter, the authors write, at least in the parts produced by Samsung Electronics (005930KS). With increasing “layers,” that can rise to more than double and perhaps triple the bit density.
As a result of density rising faster than costs, “As the density growth is significantly higher from 2D to 3D, than the CapEx increase from 15nm to 3D, we believe that 3D NAND roadmap can potentially provide a 20%/yr cost reductions for next two years.”
While 3-D NAND has been talked about for some time, the key is that the prices of the products are declining rapidly in the marketplace, as evidenced by Samsung’ ssolid-state drives using the chips, the authors write:
We would note the following recent data points (i) Samsung 3D NAND SSD pricing is now at parity with Planar SSDs. Retail pricing indicates that Samsung’s 3D NAND SSDs have declined 20-25% qtd and are now on price parity with leading planar SSDs. Note that 3D NAND SSD are known to have better reliability relative to 2D NAND SSDs, which makes 3D NAND SSD as better choice at same price points. We believe that recent price reductions are a sign that Samsung is trying to accelerate the adoption of 3D NAND and this could prompt other NAND companies to accelerate adoption of 3D NAND. (ii) SanDisk and Micron 3D NAND announcements are indication that 3D NAND progress is not just limited to Samsung, and there is greater evidence that 3D NAND can significantly reduce cost on NAND (our analysis suggests that 48/64 layer 3D NAND could offer >20% cost reduction over planar). Note that once 3D NAND becomes more economical relative to planar, transition to 3D could accelerate as NAND Companies try to compete on costs. (iii) We are seeing more signs of product wins for 3D NAND. Earlier today Korea Times reported that Samsung’s 3D NAND SSD had secured design wins at GOOG and AMZN data centers. Earlier this month Korea times had also reported that Samsung’s 3D NAND had won the Apple’s MacBook business for next year.
For Lam and Applied, they write,
Our analysis suggests that 3D NAND transition could potentially increase NAND WFE to $9-12bn /year for 2016-2018 versus $5-6bn over last 2 years (assuming 40% bit growth per year). We believe that NAND revenues for LRCX could potentially increase by 3x (or $1.5 bn per year) as 3D NAND accelerates. We also expect AMAT to benefit from the 3D NAND transition but estimate that NAND revenues for ASML will decline by > 50% as litho intensive CapEx for planar NAND is replaced by non litho intensive CapEx for 3D NAND.

No comments:

Post a Comment